diff options
Diffstat (limited to 'sysdeps/x86_64/fpu/e_log2l.S')
-rw-r--r-- | sysdeps/x86_64/fpu/e_log2l.S | 5 |
1 files changed, 1 insertions, 4 deletions
diff --git a/sysdeps/x86_64/fpu/e_log2l.S b/sysdeps/x86_64/fpu/e_log2l.S index 78dc2d5c0e..140b93d101 100644 --- a/sysdeps/x86_64/fpu/e_log2l.S +++ b/sysdeps/x86_64/fpu/e_log2l.S @@ -9,11 +9,8 @@ #include <machine/asm.h> -#ifdef __ELF__ .section .rodata.cst8,"aM",@progbits,8 -#else - .text -#endif + .p2align 3 ASM_TYPE_DIRECTIVE(one,@object) one: .double 1.0 |