about summary refs log tree commit diff
path: root/sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist
diff options
context:
space:
mode:
authorChris Metcalf <cmetcalf@tilera.com>2014-06-10 13:45:36 -0400
committerChris Metcalf <cmetcalf@tilera.com>2014-06-10 14:10:17 -0400
commit2d0fc4dcfcc35cdc3756e548e354488d48e8e1e9 (patch)
tree2fb242a7c70842a4a502ba2a9ac56db880e15061 /sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist
parent0570cfed7f46a6e71175e1e6fc52fa9b3f22e677 (diff)
downloadglibc-2d0fc4dcfcc35cdc3756e548e354488d48e8e1e9.tar.gz
glibc-2d0fc4dcfcc35cdc3756e548e354488d48e8e1e9.tar.xz
glibc-2d0fc4dcfcc35cdc3756e548e354488d48e8e1e9.zip
tile: move sysdeps/unix/sysv/linux/tile nptl files.
Diffstat (limited to 'sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist')
-rw-r--r--sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist42
1 files changed, 42 insertions, 0 deletions
diff --git a/sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist b/sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist
new file mode 100644
index 0000000000..934ce9acd6
--- /dev/null
+++ b/sysdeps/unix/sysv/linux/tile/tilegx/tilegx32/libthread_db.abilist
@@ -0,0 +1,42 @@
+GLIBC_2.12
+ GLIBC_2.12 A
+ td_init F
+ td_log F
+ td_symbol_list F
+ td_ta_clear_event F
+ td_ta_delete F
+ td_ta_enable_stats F
+ td_ta_event_addr F
+ td_ta_event_getmsg F
+ td_ta_get_nthreads F
+ td_ta_get_ph F
+ td_ta_get_stats F
+ td_ta_map_id2thr F
+ td_ta_map_lwp2thr F
+ td_ta_new F
+ td_ta_reset_stats F
+ td_ta_set_event F
+ td_ta_setconcurrency F
+ td_ta_thr_iter F
+ td_ta_tsd_iter F
+ td_thr_clear_event F
+ td_thr_dbresume F
+ td_thr_dbsuspend F
+ td_thr_event_enable F
+ td_thr_event_getmsg F
+ td_thr_get_info F
+ td_thr_getfpregs F
+ td_thr_getgregs F
+ td_thr_getxregs F
+ td_thr_getxregsize F
+ td_thr_set_event F
+ td_thr_setfpregs F
+ td_thr_setgregs F
+ td_thr_setprio F
+ td_thr_setsigpending F
+ td_thr_setxregs F
+ td_thr_sigsetmask F
+ td_thr_tls_get_addr F
+ td_thr_tlsbase F
+ td_thr_tsd F
+ td_thr_validate F