From 95211f87ed04fff74475109d36027b5a24a71ab9 Mon Sep 17 00:00:00 2001 From: Peter Stephenson Date: Mon, 13 May 2019 09:55:01 +0100 Subject: 44259: Ensure we can set signals to default even if ignored. Previously the shell didn't take account of signals marked as ignored on entry, which was inconsistent with other behaviour. --- Src/signals.c | 4 ---- 1 file changed, 4 deletions(-) (limited to 'Src') diff --git a/Src/signals.c b/Src/signals.c index f294049c2..14218177a 100644 --- a/Src/signals.c +++ b/Src/signals.c @@ -1011,10 +1011,6 @@ removetrap(int sig) (!trapped || locallevel > (sigtrapped[sig] >> ZSIG_SHIFT))) dosavetrap(sig, locallevel); - if (!trapped) { - unqueue_signals(); - return NULL; - } if (sigtrapped[sig] & ZSIG_TRAPPED) nsigtrapped--; sigtrapped[sig] = 0; -- cgit 1.4.1