From a6336cc446a7ed682cb9dbc47cc56ebf9f9a4229 Mon Sep 17 00:00:00 2001 From: Andrew Senkevich Date: Thu, 18 Jun 2015 20:11:27 +0300 Subject: Vector sincosf for x86_64 and tests. Here is implementation of vectorized sincosf containing SSE, AVX, AVX2 and AVX512 versions according to Vector ABI . * NEWS: Mention addition of x86_64 vector sincosf. * math/test-float-vlen16.h: Added wrapper for sincosf tests. * math/test-float-vlen4.h: Likewise. * math/test-float-vlen8.h: Likewise. * sysdeps/unix/sysv/linux/x86_64/libmvec.abilist: New symbols added. * sysdeps/x86/fpu/bits/math-vector.h: Added sincosf SIMD declaration. * sysdeps/x86_64/fpu/Makefile (libmvec-support): Added new files. * sysdeps/x86_64/fpu/Versions: New versions added. * sysdeps/x86_64/fpu/libm-test-ulps: Regenerated. * sysdeps/x86_64/fpu/multiarch/Makefile (libmvec-sysdep_routines): Added build of SSE, AVX2 and AVX512 IFUNC versions. * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf16_core.S * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf16_core_avx512.S * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf4_core.S * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf4_core_sse4.S * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf8_core.S * sysdeps/x86_64/fpu/multiarch/svml_s_sincosf8_core_avx2.S * sysdeps/x86_64/fpu/svml_s_sincosf16_core.S * sysdeps/x86_64/fpu/svml_s_sincosf4_core.S * sysdeps/x86_64/fpu/svml_s_sincosf8_core.S * sysdeps/x86_64/fpu/svml_s_sincosf8_core_avx.S * sysdeps/x86_64/fpu/svml_s_sincosf_data.S: New file. * sysdeps/x86_64/fpu/svml_s_sincosf_data.h: New file. * sysdeps/x86_64/fpu/svml_s_wrapper_impl.h: Added 3 argument wrappers. * sysdeps/x86_64/fpu/test-float-vlen16.c: : Vector sincosf tests. * sysdeps/x86_64/fpu/test-float-vlen16-wrappers.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen4-wrappers.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen4.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen8-avx2-wrappers.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen8-avx2.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen8-wrappers.c: Likewise. * sysdeps/x86_64/fpu/test-float-vlen8.c: Likewise. --- sysdeps/unix/sysv/linux/x86_64/libmvec.abilist | 4 ++++ 1 file changed, 4 insertions(+) (limited to 'sysdeps/unix/sysv/linux/x86_64') diff --git a/sysdeps/unix/sysv/linux/x86_64/libmvec.abilist b/sysdeps/unix/sysv/linux/x86_64/libmvec.abilist index 6c4584448e..b7efeab3ad 100644 --- a/sysdeps/unix/sysv/linux/x86_64/libmvec.abilist +++ b/sysdeps/unix/sysv/linux/x86_64/libmvec.abilist @@ -11,6 +11,7 @@ GLIBC_2.22 _ZGVbN4v_logf F _ZGVbN4v_sinf F _ZGVbN4vv_powf F + _ZGVbN4vvv_sincosf F _ZGVcN4v_cos F _ZGVcN4v_exp F _ZGVcN4v_log F @@ -22,6 +23,7 @@ GLIBC_2.22 _ZGVcN8v_logf F _ZGVcN8v_sinf F _ZGVcN8vv_powf F + _ZGVcN8vvv_sincosf F _ZGVdN4v_cos F _ZGVdN4v_exp F _ZGVdN4v_log F @@ -33,11 +35,13 @@ GLIBC_2.22 _ZGVdN8v_logf F _ZGVdN8v_sinf F _ZGVdN8vv_powf F + _ZGVdN8vvv_sincosf F _ZGVeN16v_cosf F _ZGVeN16v_expf F _ZGVeN16v_logf F _ZGVeN16v_sinf F _ZGVeN16vv_powf F + _ZGVeN16vvv_sincosf F _ZGVeN8v_cos F _ZGVeN8v_exp F _ZGVeN8v_log F -- cgit 1.4.1